当前位置: 首页 > news >正文

自建网站推广站长工具是做什么的

自建网站推广,站长工具是做什么的,网站开发公司需要招聘哪些人,绵阳网站推广排名北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.代码部分 1.1 reg_74LS374.v 1.2 reg_LS3…

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

 

目录

一.代码部分

1.1 reg_74LS374.v

 1.2 reg_LS374_tb.v

二.仿真测试效果


一.代码部分

1.1 reg_74LS374.v

module reg_74LS374
(input [7:0] D_in,input clk,output reg [7:0] D_out
);
always @ (posedge clk)begin D_out <=D_in; end
endmodule

 1.2 reg_LS374_tb.v

`timescale 1ns/1ps
module reg_74LS374_tb();reg clk;reg [7:0] D_in;wire [7:0] D_out;initial begin repeat(20) begin clk=1'b0;#200;clk=1'b1;#200;end$stop;endinitial begin repeat(2) begin D_in=8'b0000_0001;#250;D_in=8'b0000_0010;#250;D_in=8'b0000_0100;#250;D_in=8'b0000_1000;#250;D_in=8'b0001_0000;#250;D_in=8'b0010_0000;#250;D_in=8'b0100_0000;#250;D_in=8'b10000_0000;#250;end$stop;endreg_74LS374 reg_1(.clk(clk),.D_in(D_in),.D_out(D_out));	endmodule		

二.仿真测试效果

http://www.shuangfujiaoyu.com/news/54911.html

相关文章:

  • 北京王府井步行街哈尔滨seo优化公司
  • 比亚迪新型实体企业seo推广是做什么
  • 电子商务网站建设实验报告代写软文
  • 雷州市住房和城乡规划建设局网站网站seo外链建设
  • 做金融类网站外链网盘网站
  • 佛山正规网站建设报价办理培训机构需要具备的条件
  • 网站301和302seo实战密码第三版pdf
  • 学做网站要学什么语言网络推广app是违法的吗
  • 二级网站建设标准杭州搜索推广公司
  • wordpress 文章格式重庆seo网络推广平台
  • 长宁怎么做网站优化好农大南路网络营销推广优化
  • 廊坊做网站公司排名专业网站推广优化
  • 自己做网站需要学什么软件百度推广app怎么收费
  • 威县做网站哪儿好抖音seo怎么做
  • 手机网站和pc网站的区别内江seo
  • 柳州企业 商家应该如何做网站高端营销型网站建设
  • 做花茶网站解说seo怎么做优化排名
  • 在线网站制作系统源码潍坊网站建设方案咨询
  • 深圳外贸公司网站建设公司排名开电商需要多少钱
  • 做网站需要域名 域名是啥打广告去哪个平台免费
  • 关于推进网站集约化建设的讲话杭州网络推广网络优化
  • 自己建企业网站怎么建泉州百度关键词排名
  • 北京做网站制作公司什么是网站推广优化
  • 网站开发棋牌高级seo招聘
  • 永康网站优化公司国外比较开放的社交软件
  • 建网站 做淘宝客google框架一键安装
  • 国内购物平台排行榜seo营销论文
  • 给企业做网站的好处长沙seo就选智优营家
  • 苏州网络自学网站建设用asp做的网站
  • 中国建设银行网站如何注册做网络推广